序列检测器(verilog)_verilog用状态机的方法实现一个序列检测器输入三个以上的一时输出为一-CSDN博客

网站介绍:文章浏览阅读1.8w次,点赞33次,收藏185次。文章目录简介简单的序列检测器1.采用直接的状态描述方式2.使用移位寄存器稍复杂的序列检测器1.状态机描述2.使用移位寄存器简介序列检测器顾名思义是用于检测一段给定的信号。可以使用状态机来直接描述,也可以采用移位寄存器的方式进行检测。简单的序列检测器这里用最简单的 ”111“序列检测器,当电路输入X出现三个或三个以上为1时,电路输出Z为1,否则输出为0。下面介绍2种代码形式,分别采取:状态机直接描述和使用移位寄存器检测。1.采用直接的状态描述方式首先,需要画出它的状态转移图,如下:状态描述:_verilog用状态机的方法实现一个序列检测器输入三个以上的一时输出为一