Verilog HDL 实现时钟计数器_计时器verilog编程实现-CSDN博客

网站介绍:文章浏览阅读7.2k次,点赞25次,收藏57次。Verilog HDL 实现时钟计数器文章目录Verilog HDL 实现时钟计数器一、简介二、代码的实现1、时钟分频2、时钟计数器三、效果展示一、简介我们在这里是实现一个一秒钟计数一次的计数器,也就是说是一个时钟计数器,计数是从0到59一共有60秒,也就是说时钟计数器的模值为60。具体的功能是每隔一秒钟会把计数加一,然后加到了59以后,下一个状态是0,相当于是0->59,然后59->0的循环,可以往复进行的哦。二、代码的实现我们使用了两个模块:1、时钟分频// Module _计时器verilog编程实现