【FPGA】异步复位,同步释放的理解-CSDN博客

网站介绍:异步复位,同步释放的理解目录目录 同步复位和异步复位 异步复位 同步复位 那么同步复位和异步复位到底孰优孰劣呢? 异步复位、同步释放 问题1 问题2 问题3 问题4 问题5 参考资料同步复位和异步复位异步复位异步复位是指无论时钟沿是否到来,只要复位信号有效,就对系统进行复位。RTL代码如下:always @ (posedge clk or negedge rst_n) if(!rst_n) b..